module adder (sum,co,in1,in2,cin); output sum; output co; input in1; input in2; input cin; // wire cin =1'B0; assign sum = in1 ^ in2 ^ cin ; assign co = (in1 & in2) | ((in1 | in2 ) & cin); endmodule